【趣味のFPGA備忘録】プログラム的思考からの回帰

雑記ブログ
この記事は約2分で読めます。
スポンサーリンク

とりあえず開発環境を準備する

Copilotに相談した結果以下のようになりました

1.現状トレンドは【SystemVerilog】らしい

2.エッジAI,工場寄りの単独制御向けは【AMD】らしい

3.AMDの開発環境にワンパッケージ無償版があるっぽい!

4.【個人的イメージ】ネット上の情報割合はインテルがなんか多そう!…..えっ?

5.ニッチよりで決定w

環境の決定!

AMD開発環境 Windows11

Vivado 2025.1

インストールしてみる

ダウンロード容量:ばかでかいw

1回目:なぜか失敗

2回目:イメージごとダウンロードしたものでインストールで成功

やれやれ・・丸1日かかったよ・・・・・・

新規プロジェクトを幾つか作成してシュミレーションしてみる

プロジェクト作成後にVSCで編集::::vivadoのテキストエディタは文字を大きく出来ないようだ

コードはCopilotに出してもらう 魔法の呪文は

     「vivadoで使える systemverilogの nand回路を作ってちょうだい」

モジュールファイルをソースに登録

module nand_gate (
    input  logic a,
    input  logic b,
    output logic y
);
    assign y = ~(a & b);
endmodule

テスト用ファイルをシミュレーションに登録

module tb_nand_gate;
    logic a, b, y;

    nand_gate uut (
        .a(a),
        .b(b),
        .y(y)
    );

    initial begin
        $monitor("a=%b b=%b y=%b", a, b, y);
        a = 0; b = 0; #10;
        a = 0; b = 1; #10;
        a = 1; b = 0; #10;
        a = 1; b = 1; #10;
        $finish;
    end
endmodule

シミュレーションしてみる

回路のイメージを出してみる

追加で and or xor まとめて出してみる - RTL Analysis –

不定期につづく

コメント

タイトルとURLをコピーしました